Change clock back to 250 MHz

Signed-off-by: Jan Hamal Dvořák <mordae@anilinux.org>
This commit is contained in:
Jan Hamal Dvořák 2024-01-25 22:03:06 +01:00
parent 0ae392dc51
commit d6bd009c92

View file

@ -34,7 +34,7 @@
#include <limits.h>
#include <stdlib.h>
#define CLK_SYS_HZ (264 * MHZ)
#define CLK_SYS_HZ (250 * MHZ)
#define EXTRA_BITS 4
#define NUM_SAMPLES 32